Che cos'è la tecnologia di quadruple patterning autoallineato (SAQP)?

March 28, 2024

ultime notizie sull'azienda Che cos'è la tecnologia di quadruple patterning autoallineato (SAQP)?

Il multi-patterning è una tecnica per superare i limiti litografici nella produzione di chip.Il multi-patterning consente ai produttori di chip di immaginare i disegni dei circuiti integrati a 20 nanometri o meno.

In generale, il multi-patterning ha due categorie principali: la divisione di tono e gli spaziatori.gli spazzatori includono il doppio modello autoallineato (SADP) e il quadruplo modello autoallineato (SAQP). Sia la divisione di passo che le tecniche di spaziamento possono estendersi al modello ottuplice.

ultime notizie sull'azienda Che cos'è la tecnologia di quadruple patterning autoallineato (SAQP)?  0

Il primo tipo, la divisione di tono, è usato principalmente in logica.il doppio disegno si riferisce quasi sempre al processo di scissione del litografo (LELE)Nella fabbricazione di wafer, LELE richiede due fasi di litografia e incisione indipendenti per definire un singolo strato.LELE può essere costoso in quanto raddoppia i passaggi di processo nella litografia.

Inizialmente, questa tecnica separa i layout che non possono essere stampati con una sola esposizione in due maschere a bassa densità.Questo forma due modelli più grezziEssi sono combinati e sovrapposti per consentire un'immagine più fine sul wafer.

LELE (cioè, doppio patterning) pone nuovi requisiti di layout, verifica fisica e debug per i progettisti.i colori vengono assegnati agli strati di maschera in base ai requisiti di spaziaturaGli strati di maschera vengono segmentati o decomposti in due nuovi strati rispetto al layout originario disegnato.

Una decisione chiave nella metodologia è se i progettisti vogliono perseguire un flusso di progettazione "incolore".selezionare tra diverse opzioni di decomposizioneNaturalmente, ogni flusso di progettazione richiede compromessi.

Al nodo di 20 nanometri, le fonderie utilizzano diversi flussi di progettazione a doppio disegno.Uno dei flussi più comuni in realtà non richiede al team di progettazione di decomporre i suoi strati in due coloriTuttavia, in alcuni casi, i progettisti potrebbero voler sapere quale sia l'assegnazione dei colori.

Nel frattempo, al nodo a 10 nm, i produttori di chip potrebbero dover ricorrere a un'altra tecnica di divisione del passo: il triplo patterning.LELELE è simile a LELENella fabbricazione di wafer, LELELE richiede tre fasi di litografia e incisione indipendenti per definire un singolo strato.

Nel design, il triplice patterning richiede la suddivisione dello strato originale in tre maschere.Dall'esterno il triplice schema può sembrare innocuoLa costruzione di algoritmi software EDA per decomporre, colorare e controllare automaticamente gli strati con il triplo patterning è una sfida.Le violazioni del triplice schema possono essere molto complesse., e il debugging può essere difficile.

Nel frattempo, gli spazzatori sono la seconda categoria principale di multi-patterning.SADP/SAQP è stato precedentemente utilizzato per estendere il flash NAND al nodo 1xnm e ora sta entrando nel campo logico.

SADP è una forma di doppio patterning, a volte chiamato pitch division o sidewall-assisted double patterning.Il processo SADP utilizza un passo di litografia insieme ad altri passi di deposizione e incisione per definire caratteristiche simili agli spazzatoriNel processo di SADP, il primo passo è formare dei mandrelli sul substrato. Poi, uno strato di deposizione copre il modello. Lo strato di deposizione viene poi inciso, formando spaziatori.la parte superiore è sottoposta a fasi di lucidatura chimica meccanica (CMP).

SAQP è essenzialmente due cicli di tecnologia di doppio patterning spazzatore laterale.Le linee parallele si formano primaNel frattempo, gli strati metallici nei chip DRAM e logici sono più complessi e non possono essere ottenuti attraverso SADP/SAQP. Questi strati metallici richiedono LELE.La flessibilità di progettazione di SADP/SAQP è anche inferiore a quella di LELE, mentre le tecnologie di tipo LELE richiedono il patterning via.

SAQP sta per Self-Aligned Quadruple Patterning.

Secondo le informazioni disponibili, l'auto-allineamento quadruplo (SAQP) è la tecnica più utilizzata per la modellazione di caratteristiche con gradi inferiori a 38 nm,si prevede di raggiungere gradi fino a 19 nmEsso integra essenzialmente più fasi di processo ed è stato impiegato nel modellare le pinne FinFET e 1X DRAM.consentire che le linee inizialmente disegnate a 80 nm di distanza si traducano in linee a 20 nm di distanza (ottenendo effettivamente una risoluzione di 10 nm)Ciò è significativo in quanto supera di gran lunga la risoluzione di qualsiasi strumento di litografia di produzione di massa, incluso l'EUV (che raggiunge una risoluzione di 13 nm).

ultime notizie sull'azienda Che cos'è la tecnologia di quadruple patterning autoallineato (SAQP)?  1

Il processo divide naturalmente le caratteristiche in tre gruppi: nucleo, guscio e confine (vedi figura 2).il confine forma una griglia che deve anche essere segmentataIl processo SAQP deve quindi concludersi con una fase di litografia, che taglia o taglia le caratteristiche di conchiglia e di confine precedentemente definite.nucleo e confine.

ultime notizie sull'azienda Che cos'è la tecnologia di quadruple patterning autoallineato (SAQP)?  2

In un'altra variante del flusso di processo SAQP (cfr. figura 3), le caratteristiche del guscio sono in realtà il primo materiale spaziatore rimanente, mentre il nucleo e il confine sono materiali diversi,o il substrato o il materiale di riempimento delle lacunePertanto, sono rappresentati con diversi colori nella Figura 2. Il fatto che siano materiali diversi implica che possono essere incisi selettivamente.Questo offre opportunità per raggiungere alcuni modelli impegnativi.

ultime notizie sull'azienda Che cos'è la tecnologia di quadruple patterning autoallineato (SAQP)?  3

Un'applicazione particolarmente utile è la combinazione di caratteristiche di tono minimo e di tono minimo 2x. Questa combinazione è in genere vietata in esposizioni singole con k1 < 0.5Una combinazione particolarmente scoraggiante sono le linee di passo minimo con 2x di interruzioni di passo minimo (vedi Figura 4, sinistra).Il modello di diffrazione delle interruzioni è molto più debole rispetto a quello delle linee stesse perché occupano un'area molto più piccolaLa loro prestazione si deteriora anche molto più velocemente sotto defocus.D'altra parte, attraverso l'incisione selettiva, le caratteristiche della maschera possono passare attraverso le linee intermedie (vedi figura 4, a destra).Questo semplifica notevolmente il taglio ed evita potenziali errori di posizionamento del bordo che possono verificarsi quando si taglia separatamente in due luoghi.

ultime notizie sull'azienda Che cos'è la tecnologia di quadruple patterning autoallineato (SAQP)?  4

Per l'incisione selettiva sono necessarie tre maschere: una per definire le regioni A/B separate, la seconda maschera per l'incisione selettiva A e la terza maschera per l'incisione selettiva B.l'incisione selettiva (in combinazione con la SAQP) consente anche tolleranze di sovrapposizione maggiori e un numero minimo di maschere, consentendo così la combinazione di passo minimo della linea e interruzioni al doppio del passo minimo della linea, rendendo il multi-patterning più facile da gestire.

In sintesi, tutti i processi multi-patterning autoallineati comprendono i seguenti passaggi:

  1. Stampa tracce di mandrello.
  2. Crescono pareti laterali sui modelli stampati.
  3. Rimozione dei modelli di mandrello.
  4. Sviluppo dei modelli finali tra le pareti laterali.
  5. Aggiungendo blocchi dielettrici per ottenere l'intervallo tra le punte del bersaglio finale.
  6. Man mano che avanziamo verso nodi tecnologici più avanzati, modellando gli strati metallici critici del back-end della linea (BEOL) con tratti più aggressivi, come 32 nanometri,diventa estremamente impegnativoIn genere, si creano trincee in questi strati BEOL, che vengono poi riempite di metallo nel passaggio finale di metallizzazione.vengono aggiunti strati di blocco verticali perpendicolari alle trincee, formando piccole spaziature metalliche da punta a punta.

    All'interno dell'industria, sono state considerate varie opzioni per modellare gli strati e i blocchi BEOL più aggressivi.Un'opzione è combinare litografia di immersione con ciò che è noto come Metal Line Self-Aligned Quadruple Patterning (SAQP)Tuttavia, questa opzione richiede maschere a blocchi tripli e un processo di litografia tripla, il che aumenta il costo e la complessità della soluzione proposta.Un'altra opzione è quella di utilizzare direttamente la litografia ultravioletta estrema (EUVL) per modellare gli strati metallici BEOL in un'unica esposizioneMentre questo processo di integrazione diretta dell'EUVL è semplice ed economico, la fedeltà (come la forma) e la variabilità dei modelli, nonché la fabbricazione delle maschere, non sono sufficienti.si prevede che saranno molto impegnativi, specialmente per spaziamenti da punta a punta molto piccoli.